可预置计数器/分频器

这个电路比较简单,就是一个加法计数器,在计数到最大值时候,同步载入预置值,实现可预置的计数/分频。不过还是值得我学习,代码如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
port(clk : in std_logic;
q   : out std_logic;
set_q :in std_logic_vector(7 downto 0));
end counter;
architecture behav of counter is
signal reg : std_logic_vector(7 downto 0);
begin
process
begin
reg<=set_q;
wait until falling_edge(clk);
end process;
process
variable num : integer range 255 downto 0;
begin
if num=255 then
num:=conv_integer(reg);
q<=’1′;
else
num:=num+1;
q<=’0′;
end if;
wait until rising_edge(clk);
end process;
end behav; (more…)

"Le Monstre" – The Monster Class-A 8W Amplifier

 

After reading Jean Hiraga’s article Le Monstre I was interested to hear for myself how this simple 8W class A amplifier would sound.

I used the original board layout, transistors and JFETs, and made some modifications. Heat sinking was increased to approximately triple the amount recommended. Instead of using the standard bridge rectifier, capacitor bank and battery setup, I opted for a fully regulated supply with a total of 127,0000 uF capacitance per channel and a 500 VA toroid transformer (rather than a 160 VA EI or C core transformer as per the article). (more…)

线性稳压器DIY

简单翻译下这篇文章:http://tangentsoft.net/elec/opamp-linreg.html

基于运算放大器的线性稳压器

为什么DIY?

原因有二,第一是市面上的三端稳压器不满足要求,要么性能不好,要么功率不够。第二,市面上也有一枝独秀,性能优异的稳压器,不过它们价格不菲,譬如凌力尔特的LT1581,13美元/片,购买一堆元器件了。或者它们封装独特,不能和普通的三端IC简单互换。

线性稳压器如何工作?

这个看模电书去吧,这里就不翻译了。总之就是一个负反馈环路,采样输出端电压变化,和参考电压比较,误差信号放大后去控制调整管的电流,进而调整其上的压降以达到稳压的目的。 (more…)

动圈式唱头放大器

原文地址:http://users.ece.gatech.edu/mleach/headamp/ ………..间有省略………

作者:W. Marshall Leach Jr.

引子

基于各种实用性原因,碟式电唱机已经被CD播放机取代。虽然,还存在一众LP唱机的追随者。早在1978年,我在Audio杂志上发表了一篇文章,提出了一个用于动圈式拾音器的前置放大器,或者说是唱头放大器。从收到的反馈来看,电路挺受欢迎的。先前我用的标题为”Build a Head Amp for Moving Coil Cartridges.” 不过,Audio杂志的编辑,Gene Pitts将标题改为 “Build a Pre Preamp for Moving Coil Cartridges.” 他告诉我说James Bongiorno,Ampzilla的设计者,最先将术语”head amp” 用于某一款产品,并告诉我说我们不应该在我的文章中使用那个术语。在接下来的行文中,我将交替使用”Head amp”和”Pre preamp”.

在最初的设计版本中,我使用的对管为2N5210,2N5287.它们在Motorola晶体管手册中被列为低噪声音频应用晶体管。当我将样机送给Audio的Gene时,他说他找到他的一位评审员评估过。评审员联系到我并建议将晶体管改为 2N4401,2N4403,并说这是音频设计者在低噪声应用中的秘密。Motorala将它们列为通用开关晶体管。当我用它们替换掉原先的对管后,电路信噪比提高了4dB。2N4401和2N4403具备更低噪声的秘诀在于它们有着更低的基区扩散电阻。这个参数极少在晶体管参数指标中提到。 (more…)

在MultiSim中导入Spice模型

任何以“.model” 开头的SPICE 模型是一种core 模型,Multisim SPICE引擎中已经包含了其管脚定义。Multisim SPICE引擎中默认的MOSFET型号带有四个引脚。如果你使用了3个管脚的型号,Multisim在仿真中会报错误。例如:你希望导入器件的模型与这个模型相类似:

.MODEL B4 NMOS VTO=1.7 KP=322E-6 LAMBDA=0.005
+CGSO=2.5E-9 CGDO=2.5E-9

SPICE MOSFET 通常的模型格式为:

Mxxxx D G S B model_name

在一个3个引脚的型号中使用上述模型,你必须使用“.SUBCKT” 声明,并且将 “S” 和 “B” 引脚内部相连。这是一个等效的模型:

.SUBCKT MOS D G S
M1 D G S S B4
.MODEL B4 NMOS VTO=1.7 KP=322E-6 LAMBDA=0.005
+CGSO=2.5E-9 CGDO=2.5E-9
.ENDS

注意到在 “M1” 这行上有2个“S”节点。这就是将 “S” 和 “B” 这两个引脚连接在一起了。

如果你不想修改模型,你必须使用4个引脚的型号。

源自NI官方网站:http://digital.ni.com/public.nsf/allkb/E1DA418DD7A5E4A1862574B800219513